Autor Tópico: [tutorial] usando o icarus verilog  (Lida 2610 vezes)

kodo no kami

  • Macaquinho
  • *
  • Mensagens: 28
    • Ver perfil
    • forum eof
  • 2522
  • Itens:
[tutorial] usando o icarus verilog
« Online: Maio 06, 2018, 01:59:38 am »
bom galera nesse tutorial estaremos mexendo com o icarus verilog, sendo ele um sintetizador e simulador da linguagem verilog. A linguagem verilog é uma linguagem de descrição de hardware, por ela podemos descrever o funcionamento interno de um hardware especifico, podemos como exemplo recriar todo o funcionamento de uma arquitetura ou de um processador usando apenas uma determinada linguagem. Existem inclusive chips construídos especificamente para sintetizar internamente toda aquela logica usando esse tipo de linguagem como ocorre nos FPGA, onde podemos recriar uma arquitetura e o seu funcionamento em cima daquele chip e daquela linguagem (ainda não tive a oportunidade de mexer com FPGA T.T ). Bom galera para começar a gente baixa o icarus no site oficial, o icarus é opensource e existem para diversas plataformas como windows e linux (em boa parte das distros linux ele ja tem no repositorio da distro)

site oficial

depois de baixar e instalar via repositório ou via binário (dependendo temos que coloca nas variáveis de ambiente para conseguir executar ele em qualquer diretório), criamos um código basicão que ira exibir uma string na tela do simulador (não vou ensinar a linguagem verilog nesse tutorial apenas o uso do icarus), para isso criamos em um arquivo o nosso codigo verilog (normalmente com extensão .v)

Código: [Selecionar]
module kodo;
initial begin
   $display("ola mundo by kodo");
end
endmodule

o codigo a cima vai exibir na tela do simulador a mensagem "ola mundo by kodo", para a gente sintetizar e rodar no simulador usamos o comando iverilog, passamos como argumento o nosso código fonte (também podemos ter uma saída com um nome especifico usando o argumento -o seguido do nome do arquivo de saída antes do nome do código fonte)

Código: [Selecionar]
iverilog -o kodo.out kodo.v
img grande


para simular ele usamos o comando vvp seguido do arquivo de saida

Código: [Selecionar]
vvp kodo.out
img grande


outro exemplo seria sintetizar um clock, que ira exibir uma mensagem quando tiver uma borda de subida e outra mensagem quando for uma borda de descida

Código: [Selecionar]
module kodo;
reg clock = 0;

initial begin
end

always #100 clock = ~clock;

always @(posedge clock)
begin
   $display("borda de subida");
end

always @(negedge clock)
begin
   $display("borda de descida");
end
endmodule

img grande


no exemplo anterior ele ficara preso em um loop infinito, se a gente apertar control+c vai dar um break e depois ira cair em um terminal interativo, onde podemos digitar finish para sair, cont para continuar, step para rodar passo a passo, ls para listar os modulos, entre outros comandos

img grande


podemos exportar um vcd e carregar em um programa para exibir toda essa mudança dos estados. Para fazer isso usamos as diretivas $dumpfile e $dumpvars, nesse meu exemplo a baixo sera armazenado no registrador k o estado invertido do clock

Código: [Selecionar]
module kodo;
reg clock = 0, k = 0;

initial begin
   $dumpfile("kodo.vcd");
   $dumpvars(0,clock);
   $dumpvars(1,k);
end

always #100 clock = ~clock;

always @(clock)
begin
   k = ~clock;
end
endmodule

img grande


depois do dump bastaria carregar o nosso vcd em algum programa que interprete esse formato como o gtkwave, waview ou qualquer outro desse genero.

img grande


bom galera esse é o básico do icarus ^^

by kodo no kami